top of page
Semiconductor & MEMS - Mechanical Stress and Flow Characteristics in EUV Pod
​

        Extreme ultraviolet (EUV) pellicle has been widely used to control the defectivity of EUV mask out of airborne debris. The EUV mask equipped with pellicle is typically stored within a EUV inner pod (EIP) until use. However, such pellicle is easily deformed due to its structural weakness, the risk of thermal stress and so on, thereby altering its transmission as well as impacting the yield of EUV fabrication. Since the activity of EUV pellicle alone is comprehensively studied, the exploration of pellicle mechanical stress within EIP is relatively less addressed. Here, we present an emerging approach via a chromatic confocal sensor to investigate the above issue. The chromatic sensor was utilized to detect the surface of pellicle based on the reflected light wavelength with a 22 nm axial resolution. A conductance tester was utilized to simulate the pump and vent characteristics, according to ASML and core EUV scanners. During the pump/vent cycle (from atmospheric pressure to 5 Pa and vice versa), the EUV pellicle was deflected from -400 um to 200 um. We further analyzed the stress of deformed pellicle by both numerical simulation and theoretical calculation. Interestingly, the graphene-mediated pellicle revealed a more stiffer activity than other material-based pellicles (such as poly-silicon, SiC and Si3N4) under a range of pressure difference (0 to 10 Pa). Taken together, the proposed approach has been successfully demonstrated to enable real-time examination of EUV pellicle activity within EIP, which should be capable for worldwide EUV mask cores. 

EUV_1.jpg

Figure 1. Experimental setup for measuring EUV mechanical activity. 

EUV_2.jpg

Figure 2. Numerical demonstration of the pellicle deflection during pump and vent cycles. Left panel shows the pellicle deflection data form experiment. The indicators (A, B and C) represent the corresponding states of pump/vent cycle. Right panel (including deflection and stress distributions with total 6 simulations) shows the simulated pellicle deflections during the corresponding states. 

References

​

1. ​Ching-Te Kuo, Kuokai Hung, Chia-Ho Chuang, Bill Chiu, “UV Pellicle Mechanical Stress Induced by Air Flow through The                 Pellicle Frame,” accepted and to be presented in Photomask Japan 2022.

2. Ching-Te Kuo, Kuokai Hung, Claire Lee, Chia-Ho Chuang, Bill Chiu, “Investigation of EUV pellicle mechanical stress within EUV     pod,” Proc. SPIE 11908, Photomask Japan 2021, 11908G, April 20-21, 2021. 

3. Ching-Te Kuo, Claire Lee, J.S. Wu, Chia-Ho Chuang, Bill Chiu, “In-situ and real-time investigation of EUV pellicle mechanical           stress within EUV inner pod,” Proc. SPIE 11517, Extreme Ultraviolet Lithography 2020, 115170B, October 13, 2020.

bottom of page